verilog

    5Nhiệt

    1Trả lời

    Tôi đang cố viết một trình bổ sung BCD trong Verilog, nhưng tôi đang gặp sự cố với một trong các mô-đun. Cụ thể, trình bổ sung có hai chữ số BCD và thêm chúng. Vì vậy, ý tưởng là nếu tổng của hai chữ

    5Nhiệt

    2Trả lời

    Khi ai đó hỏi bạn nếu bạn đã từng thực hiện bất kỳ tổng hợp Verilog, điều đó thực sự có ý nghĩa gì? Điều đó có nghĩa là viết mã, mô phỏng, tải mã xuống phần cứng thực tế, hay cái gì? Tôi đọc nó trực t

    5Nhiệt

    3Trả lời

    Tôi không phải là chuyên gia Verilog, và tôi đã tự hỏi nếu ai đó biết cách nào để tăng giá trị thì tốt hơn. Xin lỗi nếu câu hỏi này quá đơn giản. Way A: Trong một khối logic tổ hợp, có lẽ trong một má

    7Nhiệt

    4Trả lời

    Tôi mới bắt đầu học Verilog. Theo tôi hiểu, Verilog có net kiểu dữ liệu. net có nghĩa là gì?

    6Nhiệt

    2Trả lời

    Làm thế nào để in một giá trị số nguyên ký lưu trữ trong một chút 8 register khai báo là reg [7: 0] acc; Sử dụng $ display ("acc:% d", acc) It in giá trị unsigned. Cú pháp chính xác cho hàm $ display

    6Nhiệt

    3Trả lời

    Tôi gặp sự cố với mã Verilog này. Về cơ bản, nó sẽ không cho phép tôi làm các tuyên bố Y = 3'di. Về cơ bản, tôi muốn Y bằng i. Tôi khá chắc chắn vấn đề là i. Vì vậy, có cách nào để làm điều này trong

    17Nhiệt

    4Trả lời

    Mã nào tốt hơn khi viết RAM? gán data_out bên always khối: module memory( output reg [7:0] data_out, input [7:0] address, input [7:0] data_in, input write_enable, input clk );

    9Nhiệt

    2Trả lời

    Tôi đang cố gắng tạo một trình so sánh nhiều giai đoạn trong verilog và tôi không thể tìm ra cách tăng nhiều gen trong một vòng lặp tạo đơn. Tôi đang cố gắng như sau: genvar i,j; //Level 1 generate

    9Nhiệt

    2Trả lời

    Có sự khác biệt giữa @(posedge Clk); a<= 1'b1; và @(posedge Clk) a<= 1'b1; Lưu ý các dấu chấm phẩy sau CLK. Tôi đã xem các dòng mã tương tự khi tôi duyệt qua một cái testbench. Tôi đã là

    5Nhiệt

    1Trả lời

    Tôi đang cố tải các giá trị từ một tệp vào một mảng hai chiều như thế này. reg [31:0] RAM[63:0]; initial $readmemh("memory.dat",RAM); Lựa chọn thay thế là gì? Nếu tôi muốn mã hóa các giá trị